This forum uses cookies
This forum makes use of cookies to store your login information if you are registered, and your last visit if you are not. Cookies are small text documents stored on your computer; the cookies set by this forum can only be used on this website and pose no security risk. Cookies on this forum also track the specific topics you have read and when you last read them. Please confirm whether you accept or reject these cookies being set.

A cookie will be stored in your browser regardless of choice to prevent you being asked this question again. You will be able to change your cookie settings at any time using the link in the footer.

  • 0 voto(s) - 0 Media
  • 1
  • 2
  • 3
  • 4
  • 5
Conexión FPGA y Matlab
#1
Hola a todos, alguien ha trabajado alguna vez con FGPAs? quiero enviar datos desde Matlab a una FGPA. 
La FPGA tiene un chip FTDI, y este chip tiene varios modos de funcionamiento, uno es como interfaz RS232. Si no me equivoco, para enviar datos en Matlab, solo hay la opción de crear un Virtual Com Port y enviar por datos por ahí, no? 

Esto solo sería posible usando la interfaz RS232, cierto?

Necesito mucha iluminación.

Gracias de antemano
  Responder
#2
(11-02-2016, 04:13 PM)cybero escribió: Hola a todos, alguien ha trabajado alguna vez con FGPAs? quiero enviar datos desde Matlab a una FGPA. 
La FPGA tiene un chip FTDI, y este chip tiene varios modos de funcionamiento, uno es como interfaz RS232. Si no me equivoco, para enviar datos en Matlab, solo hay la opción de crear un Virtual Com Port y enviar por datos por ahí, no? 

Esto solo sería posible usando la interfaz RS232, cierto?

Necesito mucha iluminación.

Gracias de antemano

Hola,

Yo me voy a iniciar con las FPGA´s tambien, parece que se estan poniendo de moda!
Acabo de comprarme una Arty (Artix-7 de xilinx) para aprender, se le puede poner los shield del arduino para cacharrear! A ver si me llega pronto Sonrisa

Creo que en el foro no hay informacion sobre FPGAs, si alguien nos pudiera iluminar estaria genial!!

Sobre lo de matlab, quizas puedas instanciar una UART en la FPGA, y utilizarla para leer los datos que le llegan desde la UART del PC (No se como enviarlo desde matlab, eso ya se me escapa...)

Saludos!
  Responder


Posibles temas similares…
Tema Autor Respuestas Vistas Último mensaje
  FPGA, ¿cúal elegir para iniciarme? cybero 0 1,317 22-12-2015, 12:23 AM
Último mensaje: cybero
  Tira leds conexion ClioS 4 1,924 08-10-2013, 08:44 AM
Último mensaje: ClioS